Please use this identifier to cite or link to this item: http://dx.doi.org/10.25673/36540
Title: NoCs in heterogeneous 3D SoCs : co-design of routing strategies and microarchitectures
Author(s): Joseph, Jan MoritzLook up in the Integrated Authority File of the German National Library
Bamberg, Lennart
Ermel, Dominik
Perjikolaei, Behnam Razi
Drewes, Anna
García Ortiz, AlbertoLook up in the Integrated Authority File of the German National Library
Pionteck, ThiloLook up in the Integrated Authority File of the German National Library
Issue Date: 2019
Type: Article
Language: English
URN: urn:nbn:de:gbv:ma9:1-1981185920-367736
Subjects: 3D integrated circuits
Network on chip
Heterogeneous integration
Monolithic stacking
Abstract: Heterogeneous 3D System-on-Chips (3D SoCs) are the most promising design paradigm to combine sensing and computing within a single chip. A special characteristic of communication networks in heterogeneous 3D SoCs is the varying latency and throughput in each layer. As shown in this work, this variance drastically degrades the network performance. We contribute a co-design of routing algorithms and router microarchitecture that allows to overcome these performance limitations. We analyze the challenges of heterogeneity: Technology-aware models are proposed for communication and thereby identify layers in which packets are transmitted slower. The communication models are precise for latency and throughput under zero load. The technology model has an area error and a timing error of less than 7.4% for various commercial technologies from 90 to 28nm. Second, we demonstrate how to overcome limitations of heterogeneity by proposing two novel routing algorithms called Z+(XY)Z− and ZXYZ that enhance latency by up to 6.5× compared to conventional dimension order routing. Furthermore, we propose a high vertical-throughput router microarchitecture that is adjusted to the routing algorithms and that fully overcomes the limitations of slower layers. We achieve an increased throughput of 2 to 4× compared to a conventional router. Thereby, the dynamic power of routers is reduced by up to 41.1% and we achieve improved flit latency of up to 2.26× at small total router area costs between 2.1% and 10.4% for realistic technologies and application scenarios.
URI: https://opendata.uni-halle.de//handle/1981185920/36773
http://dx.doi.org/10.25673/36540
Open Access: Open access publication
License: (CC BY 4.0) Creative Commons Attribution 4.0(CC BY 4.0) Creative Commons Attribution 4.0
Sponsor/Funder: DFG-Publikationsfonds 2019
Journal Title: IEEE access
Publisher: IEEE
Publisher Place: New York, NY
Volume: 7
Issue: 2019
Original Publication: 10.1109/access.2019.2942129
Page Start: 135145
Page End: 135163
Appears in Collections:Fakultät für Elektrotechnik und Informationstechnik (OA)

Files in This Item:
File Description SizeFormat 
Joseph et al._NoCs in_2019.pdfZweitveröffentlichung1.19 MBAdobe PDFThumbnail
View/Open