Please use this identifier to cite or link to this item: http://dx.doi.org/10.25673/36143
Full metadata record
DC FieldValueLanguage
dc.contributor.authorJoseph, Jan Moritz-
dc.contributor.authorErmel, Dominik-
dc.contributor.authorBamberg, Lennart-
dc.contributor.authorGarcía-Oritz, Alberto-
dc.contributor.authorPionteck, Thilo-
dc.date.accessioned2021-03-25T06:28:17Z-
dc.date.available2021-03-25T06:28:17Z-
dc.date.issued2020-
dc.date.submitted2020-
dc.identifier.urihttps://opendata.uni-halle.de//handle/1981185920/36376-
dc.identifier.urihttp://dx.doi.org/10.25673/36143-
dc.description.abstractCore mapping, in which a core graph is mapped to a network graph to minimize communication, is a common design problem for Systems-on-Chip interconnected by a Network-on-Chip. In conventional multiprocessors, this mapping is area-agnostic as the cores in the core graph are uniform and therefore iso-area. This changes for Systems-on-Chip because tasks are mapped to specific blocks and not general-purpose cores. Thus, the area of these specific cores is varying. This requires novel mapping methods. In this paper, we propose a an area-aware cost function for simulated annealing; Furthermore, we advocate the use of nonlinear models as the area is nonlinear: A semi-definite program (SDP) can be used as it is sufficiently fast and shows 20% better area than conventional linear models. Our cost function allows for up to 16.4% better area, 2% better communication (bandwidth times hop distance) and 13.8% better total bandwidth in the network in comparison to the standard approach that accounts for both the network communication and uses cores with varying areas as well.eng
dc.description.sponsorshipDFG-Publikationsfonds 2020-
dc.language.isoeng-
dc.relation.ispartofhttp://www.mdpi.com/journal/technologies-
dc.rights.urihttps://creativecommons.org/licenses/by-sa/4.0/-
dc.subjectNetwork-on-Chipeng
dc.subjectCore mappingeng
dc.subject.ddc621.3-
dc.titleApplication-specific SoC design using core mapping to 3D mesh NoCs with nonlinear area optimization and simulated annealingeng
dc.typeArticle-
dc.identifier.urnurn:nbn:de:gbv:ma9:1-1981185920-363762-
local.versionTypepublishedVersion-
local.bibliographicCitation.journaltitleTechnologies-
local.bibliographicCitation.volume8-
local.bibliographicCitation.issue1-
local.bibliographicCitation.pagestart1-
local.bibliographicCitation.pageend10-
local.bibliographicCitation.publishernameMDPI-
local.bibliographicCitation.publisherplaceBasel-
local.bibliographicCitation.doi10.3390/technologies8010010-
local.openaccesstrue-
dc.identifier.ppn1690125136-
local.bibliographicCitation.year2020-
cbs.sru.importDate2021-03-25T06:24:18Z-
local.bibliographicCitationEnthalten in Technologies - Basel : MDPI, 2013-
local.accessrights.dnbfree-
Appears in Collections:Fakultät für Elektrotechnik und Informationstechnik (OA)

Files in This Item:
File Description SizeFormat 
Joseph et al._application-specific_2020.pdfZweitveröffentlichung1.17 MBAdobe PDFThumbnail
View/Open